Skip to main content

日立高新技术在中国
地区/语言地区/语言 联系我们联系我们
  1. 首页
  2. 新闻
  3. Hitachi High-Tech Launches Dark Field Wafer Defect Inspection System DI4600 to Provide High Throughput and High-Precision Defect Detection on Patterned Wafers

Hitachi High-Tech Launches Dark Field Wafer Defect Inspection System DI4600 to Provide High Throughput and High-Precision Defect Detection on Patterned Wafers

FOR IMMEDIATE RELEASE

Hitachi Dark Field Wafer Defect Inspection System DI4600

Hitachi Dark Field Wafer Defect Inspection System DI4600

Tokyo, December 6, 2023 – Hitachi High-Tech Corporation ("Hitachi High-Tech") announced today the launch of the Hitachi Dark Field Wafer Defect Inspection System DI4600 – a new tool for inspecting particles and defects on patterned wafer in semiconductor production lines.

DI4600 offers improved detection capabilities due to the addition of a dedicated server that offers significantly enhanced data processing power required for the detection of particles and defects. Compared to the previous model, system’s throughput has also been improved by approximately 20% through reduced wafer transfer time and improved operations during wafer inspection.

DI4600 will enable highly accurate defect monitoring in semiconductor production lines, which will contribute to the improved yields and better cost of ownership as the semiconductor production volumes will continue to expand going forward.

Development Background

In current social environment, memory semiconductor devices, such as DRAM and FLASH, logic semiconductors, such as MPU and GPU are being used not only in smartphones, laptops, and PCs, but also for generative Artificial Intelligence (AI) computing and autonomous driving. As semiconductor devices shrinkage and complexity progresses, the requirements for manufacturing processes cleanness and inspection capabilities also become more rigorous. Semiconductor manufacturers are constantly striving to improve their competitiveness, particularly when it comes to performance and manufacturing costs. Patterned wafer inspection tools contribute to yield management by inspecting the surfaces of production wafers for particles and defects, allowing engineers to monitor changes and trends of cleanness of semiconductor processing tools, and therefore, have a great impact on semiconductor devices’ performance and manufacturing costs.

Key Technologies

1. High Throughput

Throughput has been improved by approximately 20% compared to the existing model by reducing wafer transfer time, improving operations during wafer inspection and optimizing data-processing sequence.

2. High-Precision Detection

Detection precision has been improved due to the addition of a dedicated server that offers significantly enhanced data processing capabilities required for the detection of particles and defects.

By offering DI4600, as well as our un-patterned wafer optical inspection systems and electron beam-based products such as a CD-SEM*1 and DR-SEM*2, Hitachi High-Tech is working to meet customers' various needs in processing, measurement, and inspection throughout the semiconductor manufacturing process. We will continue to provide innovative and enhanced solutions to our products for the upcoming technology challenges, and create new value together with our customers, as well as contributing to cutting-edge manufacturing.

*1 CD-SEM (Critical Dimension-Scanning Electron Microscope): An equipment designed to perform high-precision measurement of the dimensions of fine semiconductor circuit patterns formed on wafers.

*2 DR-SEM (Defect Review-Scanning Electron Microscope): An equipment designed to perform high-quality imaging of the defects on fine semiconductor circuit patterns formed on wafers.

Website for DI4600

About Hitachi High-Tech Corporation

Hitachi High-Tech Corporation, headquartered in Tokyo, Japan, is active in a broad range of fields, including manufacturing and sales of clinical analyzers, biotechnology products, analytical instruments, semiconductor manufacturing and analysis equipment. and provides high value-added solutions in fields of social and industrial infrastructure, mobility, etc.
The company's consolidated revenues for FY 2022 were approx. JPY 674.2 billion. For further information, visit https://www.hitachi-hightech.com/global/en/

Contact:

Naoyuki Shindomo
Business Planning Dept., Metrology Systems Div.,
Nano-Technology Solution Business Group, Hitachi High-Tech Corporation
Tel : +81-833-41-8704 Mail : naoyuki.shindomo.dh@hitachi-hightech.com